【2022-2023专题】半导体巨头决战先进制程:台积电、三星“双雄”领衔厮杀

作者: 陈兴华 2023-01-06
AI解读文章
来源:爱集微 #先进制程# #台积电# #三星#
3.6w

【编者按】2022年,半导体行业依然在挑战中前行。后疫情时代、行业下行、地缘政治等因素仍深刻地影响着全球半导体产业链及生态。2023年全球半导体行业如何发展?新的挑战又会从何而来?为了厘清这些问题,集微网特推出【2022-2023专题】,围绕热门技术和产业,就产业链发展态势、热点话题及未来展望做一详实的总结及梳理,旨为在行业中奋进的上下游企业提供可以参考的镜鉴。

集微网报道,在全球半导体巨头激烈竞逐下,近年来芯片先进制程已经一路狂奔至5nm、4nm,乃至2022年开始进入3nm时代。由于先进工艺越发走向“曲高和寡”,如今仅剩财大气粗的台积电、三星和英特尔三足鼎立。此前,由于英特尔遭遇较长期阻滞掉队,台积电和三星逐渐在先进工艺上力拔头筹,并在当前围绕3nm开展了“双雄夺珠”。而不甘落后的英特尔如今也已迅速加足马力,再次入局先进制程厮杀。与此同时,随着全球地缘环境云谲波诡和新兴产业日新月异,欧洲和日本政企也开始在2nm等先进制程上加码投入“搅局”。

从先进工艺制程演进趋势来看,2nm在技术革新上极为关键。根据国际器件和系统路线图(IRDS)的规划,在2021—2022年以后,环绕式闸极(GAA)结构将逐步取代鳍式场效应晶体管(FinFET)。该架构通过更大的闸极接触面积提升对电晶体导电通道的控制能力,以及降低操作电压、减少漏电流,从而有效降低芯片运算功耗与操作温度。鉴于此,全球重要半导体国家及企业正积极谋划布局,试图在2nm关键节点占领高地或实现“弯道超车”。可以预见,随着各产业要素和力量不断涌入,全球先进制程将掀起一场新的割据战风暴。

台积电:一马当先,美国3nm晶圆厂引发舆论震动

作为全球晶圆代工翘楚,台积电向来在资本支出方面堪称大手笔。2022年1月13日,台积电在法说会上表示,其2022年资本支出将达400亿至440亿美元,比去年增加约100亿美元,其中70%至80%的资本预算将用于先进工艺技术研发,包括2nm、3nm、5nm和7nm。不过,受消费需求疲软以及上游供应链等影响,在10月13日举行的三季度财报会上,台积电下调2022年资本支出至360亿美元。而即便如此,其在先进制程上的大步流星仍然带来了显著收益。

财报数据显示,2022年第三季度,台积电实现销售收入6131.4亿元台币,同比增长47.9%,环比增长14.8%;同期实现净利润2809亿元台币,净利润率为45.8%。在制程分类收入方面,三季度台积电5nm制程晶圆出货量占据公司营收的28%,7nm制程晶圆出货量占据公司营收的26%,而在2022年第二季度,5nm和7nm制程营收占比分别约21%和30%。不难看出,基于资金和人力等资源的大力投入,台积电7nm以下先进制程营收占比持续扩大。

台积电先进制程演变路径

在更先进的制程方面,由于4nm产品陷“造假风波”以及被用户吐槽发热量和功耗高等问题,台积电官方并没有过多规划表态。不过,其称3nm芯片拟于2022年第四季度晚些时候量产,客户对3nm制程的芯片需求超出供应量。另据台媒报道,台积电3nm投片将在2023年上半年开始产生收入,首位采用3nm投片的客户为苹果,产品最快于2023年下半年推出。而AMD、英伟达、高通、联发科和博通等更多客户的3nm订单将在2024年持续放量。值得注意,由于台积电规划在美国亚利桑那州工厂导入3nm工艺,业界舆论一度震动,中国台湾媒体甚至称台积电正在“去台化”。

尽管半导体产业“去台化”的传言甚嚣尘上,但台积电将1nm落地中国台湾的消息有力回击了相关舆论。12月5日,台湾地区竹科管理局表示,台积电1nm厂将落脚桃园市的龙潭科学园区三期,且扩建规划的先导计划已于11月中旬上报,进度正常。这将有助于稳定中国台湾方面的舆情和“信心”。另外,在2nm这一硅芯片关键战役上,台积电再也积极布局。6月17日,台积电在其举行的技术论坛上披露,到2024年公司将拥有ASML最先进的高数值孔径极紫外光刻机,用于生产纳米片晶体管(GAAFET)架构的2nm芯片,预计在2025年量产。

三星:壮志难酬,“登顶”野心还需克服更多挑战

在全球5nm以下制程领域中,如今主要仅剩下三星和台积电在开展激烈竞争的拉锯战,并且持续推动摩尔定律向前延伸。由于资本支出是先进制程拉锯战的底气,三星自然也不甘落后、重金砸入。2022年5月,三星电子宣布未来5年重大投资计划时表示,在2026年前将资本支出增加30%以上,达450万亿韩元(约合2.4万亿人民币)。虽然三星电子没有透露各业务的支持占比,但有分析师初步预测,其在半导体领域将支出超千亿美元。

为了在先进工艺上超越台积电,野心勃勃的三星还执行了“双轮驱动”战略,即一方面在FinFET架构上不断追赶台积电5nm、4nm技术,一方面大胆革新及调整工艺架构路线路,在3nm、2nm工艺架构上均采用全新的GAA技术。但目前来看,三星显然壮志难酬。首先,继三星代工的5nm芯片骁龙888被业界称之为“火龙”后,高通再次相信三星推出其代工的4nm芯片骁龙8Gen1,但在发热量和功耗上再次翻车。据悉,三星4nm良率仅为35%,而台积电4nm制程工艺芯片的良率可达到70%。而这也导致三星晶圆代工的主要客户出现流失。

 

三星展示量产3nm芯片

另一方面,2022年6月30日,三星兑现此前的公开承诺,宣布采用GAA工艺的3nm芯片量产。这意味着三星成为全球第一个量产3nm芯片的厂商,以及半导体制造业进入新的时代。不过,由于是赶在上半年最后一天量产,这不免被行业人士质疑为“赶鸭子上架”。此外,市场还传出三星3nm制程良率不超过20%,为此三星已与美国公司Silicon Frontline Technology扩大合作以提高良率。同时,三星如今正致力于2024年推出改良的第二代3nmGAP(GAA-Plus)工艺,据称将为英伟达、高通、IBM和百度等客户制造芯片。

在投入方面,10月3日,三星在美国硅谷举行的“三星晶圆代工论坛&SAFE论坛”上还承诺,到2027年将先进节点的产能扩大“三倍以上”,并计划在2025年推出2nm工艺,到2027年推出1.4 nm工艺。据悉,三星的2nm等先进技术将会持续采用其具有先发优势的GAA工艺,并有望成为先进制程博弈最后“赢家”。例如针对3nm和2nm以下的先进制程下单计划,高通表示将持续与三星代工厂保持合作关系,并随着技术成熟与多家晶圆代工厂保持合作。

英特尔:焕然蜕变,以“全垒打”战略向宏图进击

在先进制程曾被台积电和三星甩开差距后,英特尔近年来突然危机感爆棚,希望通过一系列“全垒打”战略举措,重新夺回半导体先进工艺制造领域的地位,乃至在2030超越三星成为世界第二大晶圆代工企业。对此,除了进行IDM 2.0重大战略转型升级之外,英特尔还制定了到2025年的“一年一代”工艺迭代路线并加速落地执行,即分别推进Intel 7(10nm)、Intel 4(7nm)、Intel 3(5nm)、Intel 20A(2nm)、Intel 18A(1.8nm)五个制程节点。

英特尔最新制程工艺路线图

不难发现,在英特尔的先进工艺宏图中,强化制造能力是关键一环。比如继宣布在亚利桑那州投资约200亿美元新建两座晶圆厂后,2022年1月22日,英特尔再宣布将在俄亥俄州投资200亿美元建设两座晶圆厂,2025年建成时将使用全球最先进工艺制造芯片产品。总体上,其未来整体投资金额可能增至1000亿美元,总计建设八座晶圆厂。另外,2022年2月,英特尔还宣布以54亿美元收购高塔半导体(Tower),以弥补部分业务短板和完善代工生态。在全球范围内,其还对欧洲的爱尔兰和德国晶圆厂进行大量投资,同时保留了Tower在日本的分公司。

至于先进工艺进展方面,在2022年9月28日举行的英特尔On技术创新论坛上,英特尔CEO帕特·基辛格公布了Intel 18A制程的最新进展——PDK 0.3版本已被早期设计客户采用,测试芯片正在设计中,将于年底流片。此前,英特尔原定在2025年量产1.8nm制程,但在2022年二季度财报会议上,基辛格透露这一时间被提前到2024年下半年,同期推出的还将包括Intel 20A,即2nm制程。另外,2022年12月,英特尔方面表示,公司7nm制程工艺已大规模量产,4nm制程工艺准备开始量产,并将于2023年下半年转向3nm制程工艺。

值得注意,2022年9月,基辛格还宣称,英特尔代工服务(IFS)将开创“系统级代工”的时代,不同于仅向客户提供晶圆制造能力的传统代工模式,其将提供涵盖“晶圆、封装、软件和Chiplet”的全面方案。同时,英特尔还计划在其芯片设计和制造之间建立更大的决策分离,旨在让生产线像Fab业务一样运作将内外部订单一视同仁。在2022年三季度财报中,英特尔透露其已经签订了全球TOP10半导体设计厂商中的7家。而这些均是其有信心在先进工艺上叫板台积电和三星,并喊出2030年成为全球第二大圆晶代工厂的底气和理由所在。

欧洲与日本:取长补短,迈向先进工艺“大跃进”

随着国际地缘及产业环境风云变化,欧盟也于2022年2月公布了《欧洲芯片法案》(A Chips Act for Europe),目标是到2030年欧盟在全球芯片生产的份额从目前的10%增加到20%。根据该法案,欧盟将投入超过450亿欧元公共和私有资金,用于支持欧盟的芯片制造、试点项目和初创企业,以扶持本土芯片供应链,并降低对于亚洲及美国的依赖。其中,110亿欧元将用于加强现有的研究、开发和创新,以确保部署先进的半导体工具以及用于原型设计、测试的试验生产线等。到了11月下旬,欧盟国家正式同意实施该芯片法案计划。

显然,由于先进工艺在各产业中发挥的作用愈发明显,欧盟对发展先进制程已逐渐变得较为迫切。比如在《欧洲芯片法案》中,欧盟明确列出了其先进制程的发展规划,包括将建设10nm及以下节点FD-SOI试验线、2nm以下工艺节点FinFET/GAA试验线、3D异构先进封装试验线等。不过,由于欧洲仅存的IDM企业只专注于其22nm等成熟优势产品,欧盟正致力于通过芯片法案吸引英特尔等全球最先进的晶圆制造能力。不过,当前的投资将仅使欧盟在2025至2026年之前获得成熟制程上的产能,更先进以及2nm工艺将会在2030年前才能获得成果。

日本先进工艺迭代路线图

受供应短缺、贸易摩擦和经济不振等多重因素影响,日本近年来也有意强化本国先进工艺芯片的制造能力。然而,日本国内的逻辑半导体工厂停留在40nm左右水平,与当前国际领先水平相差10年左右。而为了填补这一技术鸿沟,日本甚至不惜与曾经的半导体宿敌——美国“化干戈为玉帛”。2022年5月,“美日芯片同盟”宣告成立,旨在攻克先进制造工艺2nm研发和量产等。日本经济产业省计划年内启动“技术研究组合最尖端半导体技术中心(LSTC)”,将其作为重要研发基地,并大力拉拢海外研究机构和IBM等公司加入其“重振”半导体大计。

在2nm先进工艺项目筹划近一年后,2022年11月,丰田汽车、索尼、日本电信电话、日本电气、日本电装、软银、铠侠和三菱日联银行8家日企,各出资10亿日元成立了名为Rapidus的新公司,目标是在2025年至2030年间开始生产“超越2nm”的高端芯片,并在2027年实现量产。然而,日本政府提供的700亿日元(约合35.6亿元人民币)加上8家日企业总计区区73亿日元的投资,也导致Rapidus公司被质疑“根本不足以”在全球市场上具有竞争力。因此,未来日本能在多大程度上推进先进工艺的官民合作,将成为其先进工艺半导体战略成败的关键之一。(校对/武守哲)

责编: 武守哲
来源:爱集微 #先进制程# #台积电# #三星#
THE END

*此内容为集微网原创,著作权归集微网所有,爱集微,爱原创

关闭
加载

PDF 加载中...